数字IC设计中用到的EDA工具

发布时间 2023-08-25 17:09:44作者: 不好说话甄

仿真验证工具:Cadence的Incisive、Synopsys的VCS、Mentor的QuestaSim
逻辑综合工具:Cadence的Gneus、Synopsys的Design Compiler(DC)
形式验证工具:Cadence的Conformal、Synopsys的Formality
静态时序分析工具:Cadence的Tempus、Synopsys的Prime Time(PT)
自动布局布线工具:Cadence的Innovus、Synopsys的IC Compiler(ICC)
物理验证工具:Mentor的Calibre、Synopsys的Hercules、Cadence的Diva/Dracula