集成电路领域的核心会议与期刊

发布时间 2023-06-07 13:59:42作者: icmaxwell

一、会议-ISSCC,VLSI,CICC,ESSCIRC,RFIC,A-SSCC

  1. ISSCC-IEEE International Solid-State Circuits Conference,国际固态电路会议,是世界学术界和企业界公认的集成电路设计领域最高级别会议,被认为是集成电路设计领域的“世界奥林匹克大会”。(顶会,每年仅有200篇左右)

  2. VLSI-IEEE Symposia on VLSI Technology and Circuits,超大规模集成电路研讨会

  3. ESSCIRC-European Solid-State Circuit Conference,欧洲固态电路会议

  4. RFIC-IEEE Radio Frequency Integrated Circuits Symposium,射频集成电路研讨会

  5. CICC-IEEE Custom Integrated Circuits Conference,集成电路会议

  6. ASSCC-IEEE Asian Solid-State Circuits Conference,亚洲固态电路会议

  7. ISCAS-IEEE International Symposium on Circuits and Systems,国际电路与系统研讨会

    ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > 各种local CAS (APCCAS, MWSCAS)

二、期刊-IEEE JSSC,IEEE SSCL,IEEE TCAS-I,IEEE TCAS-II,IEEE TMTT,IEEE MWCL,IEEE TVLSI,IEEE TbCAS,IEEE Sensor Journal

  1. IEEE JSSC-IEEE Journal of Solid-State Circuits ,IEEE固态电路期刊。(顶刊 SCI 1区

  2. IEEE SSCL-IEEE Solid-State Circuits Letters ,18年创刊,档次很高,只收流片结果,和JSSC有相同的审稿标准,首任主编Behzad Razavi。

  3. IEEE TCAS-I-IEEE Transactions on Circuits and Systems I(SCI 2区)

  4. IEEE TCAS-II-IEEE Transactions on Circuits and Systems II(SCI 2区)

  5. IEEE TMTT-IEEE Transactions on Microwave Theory and Techniques(SCI 1区)

  6. IEEE MWCL-IEEE Microwave and Wireless Components Letters(SCI 2区)

  7. IEEE TVLSI-IEEE Transactions on Very Large Scale Integration(SCI 2区)

  8. IEEE TBCAS-IEEE Transactions on Biomedical Circuits and Systems(SCI 2区)

  9. IEEE Sensor Journal-SCI期刊,中科院杂志分区工程:电子与电气分类下的 3 区期刊。

IC设计中需要知道的会议和期刊

 

会议

IEEE International Solid-State Circuits Conference,简称:ISSCC,国际固态电路会议

IEEE International Electron Devices Meeting,简称:IEDM,国际电子器件会议

IEEE Symposia on VLSI Technology and Circuits,简称:VLSI,超大规模集成电路研讨会

European Solid-State Circuit Conference,简称:ESSCIRC,欧洲固态电路会议

IEEE Asian Solid-State Circuits Conference,简称:A-SSCC,亚洲固态电路会议

Hot Chips: A Symposium on High Performance Chips,简称:Hot Chips,高性能芯片研讨会

IEEE International Symposium on Circuits and Systems,简称:ISCAS,电路系统研讨会

IEEE/ACM International Symposium on Microarchitecture,简称:MICRO,微架构

International Symposium on Computer Architecture,简称:ISCA,计算机体系架构

International Symposium on High-Performance Computer Architecture,简称:HPCA,高性能计算机架构

International Conference on Architectural Support for Programming Languages and OperatingSystems,简称:ASPLOS,编程语言和操作系统的体系结构支持国际会议

Design Automation Conference,简称:DAC,设计自动化会议

IEEE International Symposium on Power Semiconductor Devices and ICs,简称:ISPSD,功率器件、电路研讨会

IEEE Radio Frequency Integrated Circuits Symposium,简称:RFIC,射频集成电路研讨会

IEEE Custom Integrated Circuits Conference,简称:CICC,集成电路会议

IEEE International Conference on Computer-aided Design ,简称:ICCAD,计算机辅助设计国际会议

ACM/IEEE International Symposium on Low Power Electronics and Design,简称:ISLPED,低功耗电子设计研讨会

Design, Automation and Test in Europe Conference and Exhibition,简称:DATE,欧洲设计、自动化、测试会议

 

期刊

IEEE Journal of Solid-State Circuits ,简称:JSSC

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,简称:TCAD

IEEE Transactions on Circuits and Systems I,简称:TCAS-I

IEEE Transactions on Circuits and Systems II,简称:TCAS-II

IEEE Transactions on Very Large Scale Integration ,简称:TVLSI

ELECTRONICS LETTERS,简称:EL

汇总:集成电路领域的核心会议与期刊