滤波器 心得 数字fpga

数字孪生城市智能停车系统(艾思软件)

数字孪生城市智能停车系统是一种基于数字孪生技术的智能停车解决方案。它通过构建一个虚拟的数字孪生城市,实现对现实世界中停车场、道路和交通状况的实时监控和管理。 数字孪生城市智能停车系统主要包括以下几个方面: 数据采集与处理:通过各种传感器和摄像头收集现实世界中的实时数据,包括车辆位置、速度、数量等信息 ......
数字 智能 城市 系统 软件

点阵LED数码管显示驱动IC VK16K33 A/B/C/BA/AA 驱动电流大 质量稳定 适用于计量插座,数字闹钟,压力表等产品

概述 VK16K33是一种带按键扫描接口的数码管或点阵LED驱动控制专用芯片,内部集成有数据锁存器、键盘扫描、LED 驱动模块等电路。数据通过I2C通讯接口与MCU通信。SEG脚接LED阳极,GRID脚接LED阴极,可支持16SEGx8GRID的点阵LED显示面板。最大支持13×3的按键。内置上电复 ......

基于FPGA的图像拼接算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的拼接结果导入到matlab,显示结果如下: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 3.1 理论概述 基于FPGA的图像拼接算法实现是一种利用FPGA硬件平台对图像进行拼接处理的方法。基于FPGA的图像拼接算法实现 ......
算法 图像 文件 MATLAB FPGA

notch滤波器相关

常见三种陷波滤波器(Notch Filter)的离散化设计 https://blog.csdn.net/qczhao_10/article/details/128073104?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522170419 ......
滤波器 notch

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 拉普拉斯变换是一种二阶微分算子,用于图像增强和边缘检测。它通过计算图像中每个像素点周围像素的灰度差值,突出图像中的高频成分,从而增强边缘和细节。 对于二维图像f(x,y),拉普拉斯变换定 ......
拉普拉斯 图像 文件 MATLAB FPGA

python如何分离数字divmod方法

需求来自于202. 快乐数 比如我有一个173,我想把每一位都算一个平方,再相加返回。 结果应该是sum = 12+72 + 32 = 1 + 49 + 9 = 59 利用divmod方法: def get_sum(n: int) -> int: new_num = 0 while n: print ......
数字 方法 python divmod

MES系统中的电子看板:真正实现数字化车间可视化

在生产制造过程中,看板管理扮演着至关重要的角色。通过看板,我们能够实时了解生产情况、物料需求、质量预警等信息,从而更好地控制生产过程。作为万界星空科技MES管理系统中的一个基本模块,看板管理为企业的生产管理提供了有力支持。一、MES系统中的看板类型: 1、生产任务看板 生产任务看板包括生产任务号、班 ......
看板 车间 数字 系统 电子

2024我决定写博客了,总结自已的学习心得

从事软件开发已经有15年了。 对于软件的规划,架构,编程, 实施,交付都有一些心得体会. 今天最重要的战略目标就是考证. 在当今中国。证书实在是太重要了。 然后在工作要也要学会调整自已的心态。 让自已每天都精力充沛的面对一切困难. ......
心得 博客 2024

滤波器相关

巴特沃斯滤波器设计中的常用函数 https://blog.csdn.net/ReCclay/article/details/84672557 MATLAB实现巴特沃斯数字滤波器 https://blog.csdn.net/weixin_42920780/article/details/9830578 ......
滤波器

中文数字的魅力:古今中外的传承与创新

一、引言 中文数字,作为世界上最古老、最具特色的数字系统之一,已经伴随着中华文化走过了几千年的历史。它既是我们祖先智慧的结晶,也是现代生活中不可或缺的元素。本文将从各个方面介绍和解释中文数字的用途,带领大家领略中文数字的魅力。 中文数字-阿拉伯数字转换 | 一个覆盖广泛主题工具的高效在线平台(amd ......
古今中外 魅力 数字

FPGA新的体会:相机传感器驱动

用过了几款相机,对使用相机也有了一点心得,在此记录。 当你得到一款相机,你需要做的: 第一件事:在datasheet中阅读配置单,知道怎么配置、配置完输出来是什么。 配置输出尺寸;传输模式:DDR?SDR?;传输格式:raw8/10/12?rgb? Dvp or Lvds? 第二件事:根据接口决定驱 ......
传感器 相机 FPGA

Cellebrite Physical Analyzer 7.65 (Windows) - 数字数据审查

Cellebrite Physical Analyzer 7.65 (Windows) - 数字数据审查 The Industry Standard for Digital Data Examination 请访问原文链接:https://sysin.org/blog/cellebrite-phys ......
Cellebrite Analyzer Physical Windows 数字

汇编-CWD将有符号数字转换为双字

将ax寄存器中的有符号数扩展为dx:ax中的有符号数。如果ax是正数,则dx会被设置为00000000h;如果ax是负数,则dx会被设置为FFFFFFFFH ......
符号 数字 CWD

05 QT上位机读写FPGA内存

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 如果读者对于前面demo还有一些疑惑,那么本节 ......
内存 FPGA 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

06 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 06

07 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 07

08 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 08

leedcode 罗马数字转整数

class Solution: def romanToInt(self, s: str) -> int: num_convert = {'I': 1, 'V': 5, 'X':10,'L':50,'C':100,'D':500,'M':1000} s_len=len(s) count=0 for i ......
整数 leedcode 数字

04 FPGA光通信之8B10B ADC采集传输

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 8B10B FPGA 10B B10

3-1-04 FPGA使用fdma读写DDR

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 4.1概述 在前文的实验中我们详细介绍了FDMA的使用方法 ......
FPGA fdma DDR 04

1-1-02 AMD(XILINX) FPGA开发工具Vitis(vivado)安装

1.1Vitis概述 Vitis 统一软件平台可实现在 Xilinx 异构平台(包括 FPGA、SoC 和 Versal ACAP)上开发嵌入式软件和加速应用。它可为边缘、云和混合计算应用加速提供统一编程模型。 利用与高层次框架的集成,通过加速库采用 C、C++ 或 Python 进行开发,或者使用 ......
开发工具 工具 XILINX vivado Vitis

RISC-V-数字设计与集成电路(下)

RISC-V-数字设计与集成电路(下) 审查:添加/子的数据路径 将addi加载项添加到数据路径 I-type直接格式 imm[31:0] •指令的高12位(inst[31:20])复制到立即数的低12位(imm[11:0]) •立即数通过复制inst[31]的值来进行符号扩展,以填充立即数值的高2 ......
集成电路 电路 数字 RISC-V RISC

37 基于FPGA的LVDS信号环路测试

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 LVDS(Low Voltage Differ ......
环路 信号 FPGA LVDS 37

31 基于FPGA简易示波器显示驱动设计

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 FPGA在数据采集,数据处理,图像视频领域都有 ......
示波器 简易 FPGA 31

29 基于FPGA实现触摸屏实验

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! 1 概述 常规情况下我们会使用CPU通过I2C接口实现触 ......
触摸屏 FPGA 29

华为云耀云服务器L实例-深度学习环境配置-TensorFlow进行手写数字识别

华为云耀云服务器L实例-深度学习环境配置-TensorFlow进行手写数字识别 产品官网:https://www.huaweicloud.com/product/hecs-light.html 今天我们采用可靠更安全、智能不卡顿、价优随心用、上手更简单、管理特省心的华为云耀云服务器L实例为例,本篇中 ......
TensorFlow 实例 深度 环境 服务器

力扣448-找到所有数组中消失的数字

难度:【简单】 常规笨方法做一遍:先遍历一遍记录到哈希表中,再从1到n遍历一遍,不在哈希表中的记入返回数组中,时空复杂度都是O(n)。 尝试优化空间复杂度到O(1):先填满返回数组,再遍历原数组,原数组中出现的元素删掉。也是朴素的笨方法,所以超出了时间限制。这让我体会到了数组查找元素的时间代价。 抄 ......
数组 数字 448
共2700篇  :3/90页 首页上一页3下一页尾页