环路 信号fpga lvds

Linux的信号管理 [补档-2023-07-30]

信号 11-1简介: ​ 信号只是表示某个信号,不可以携带大量信息,信号需要满足特点的条件才会产生。是一种特别的通信手 段。 11-2 信号机制: ​ 假设有两个进程A,B,现在进程A给进程B发送信号,进程B在收到信号之前会执行自己的代码,当收到 信号后,无论执行到了哪里,都要暂停执行然后去处理信号 ......
信号 Linux 2023 07 30

Fpga开发笔记(一):高云FPGA芯片介绍,入手开发板套件、核心板和底板介绍

前言 FPGA作为一种逻辑芯片,硬件架构独特,具有并行性、低延时性和灵活性等特性,应用领域广泛。 FPGA市场主要玩家是英特尔、AMD、莱迪思、Microchip、Achronix等;国内厂商包括复旦微电、紫光国微、安路科技、东土科技、高云半导体、京微齐力、京微雅格、智多晶、遨格芯等。 本篇主要介绍 ......
底板 套件 芯片 核心 笔记

通信系统中ZF,ML,MRC以及MMSE四种信号检测算法误码率matlab对比仿真

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a 3.算法理论概述 通信系统中ZF(Zero Forcing,零迫)、ML(Maximum Likelihood,最大似然)、MRC(Maximum Ratio Combining,最大比合并)和MMSE(Minimum Mean ......
误码率 误码 算法 信号 matlab

基于FPGA的图像自适应阈值二值化算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 Vivado2019.2 matlab2022a 3.算法理论概述 图像二值化是数字图像处理中的一种常见技术,可以将灰度图像转换为黑白二值图像,突出图像的轮廓和特征。自适应阈值二值化是一种常用的图像二值化方法,能够根据图像局部区域的灰度分布自适应地确定阈 ......
阈值 算法 图像 文件 MATLAB

基于FPGA的电子琴设计(按键和蜂鸣器)---第一版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器) 第一版。 功能说明: 外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音 1. 默认发出0.2秒(可以调整)。2. 蜂鸣器发出对应的中音。 ......
蜂鸣器 电子 电子琴 第一版 按键

前端开发笔记[6]-基于Gradio的力声信号分析界面

摘要 基于Gradio的力声信号分析界面,实现Gradio页面内嵌html代码和svg图像; 备注 仅用作前端开发练习,不保证其中的信号处理方式及数据分析的学术性。 开源地址 [https://gitee.com/qsbye/pear-dsp-gradio] 平台信息 "pyaudio~=0.2.1 ......
前端 信号 界面 笔记 Gradio

为什么 fft 前需要对信号加窗

为什么要加窗 每次 FFT 变换只能对有限长度的时域数据进行变换。如果截断的时间长度不是周期的整数倍,那么,截取后的信号将会存在泄漏(例如,一个正弦波的 FFT 本该是一个冲击点,截断不准确的话冲击点两侧会有大量泄漏)。 需要使用加权函数,也叫窗函数。加窗主要是为了使时域信号似乎更好地满足 FFT ......
信号 fft

FPGA模块化设计

模块化设计出发点 在实际地操作中,总有一些基础的模块需要不断地寻找,往往需要消耗大量的时间。为了节约模块化设计的时间,提高设计的效率。在这里将一些基础的模块全部进行封装,利用网络的便捷性,实现快速地基础模块的调用。同时在这个过程中,利用FPGA的拓展性,实现代码的最大化利用。同时,也考虑到模块化封装 ......
模块 FPGA

一文搞懂什么是阻塞IO、信号驱动IO、Reactor模型、零拷贝

公众号《鲁大猿》,寻精品资料,帮你构建Java全栈知识体系 www.jiagoujishu.cn 基础IO 如何从数据传输方式理解IO流? 从数据传输方式或者说是运输方式角度看,可以将 IO 类分为: 字节流, 字节流读取单个字节,字符流读取单个字符(一个字符根据编码的不同,对应的字节也不同,如 U ......
拷贝 模型 信号 Reactor

FPGA学习实践之旅——前言及目录

很早就有在博客中记录技术细节,分享一些自己体会的想法,拖着拖着也就到了现在。毕业至今已经半年有余,随着项目越来越深入,感觉可以慢慢进行总结工作了。趁着2024伊始,就先开个头吧,这篇博客暂时作为汇总篇,记录在这几个月以及之后从FPGA初学者到也算有一定理解程度的学习过程。 前言 回想我的编程之旅其实 ......
前言 之旅 目录 FPGA

【信号与系统】画图题

![image](https://img2024.cnblogs.com/blog/2571021/202401/2571021-20240106151009241-660569002.jpg) > - -- - - - - -- ![image](https://img2024.cnblogs.c... ......
信号 系统

【信号与系统】卷积信号计算

![image](https://img2024.cnblogs.com/blog/2571021/202401/2571021-20240106133347387-445246079.jpg) ![image](https://img2024.cnblogs.com/blog/2571021/20... ......
卷积 信号 系统

【信号与系统】线性时不变连续系统基础计算题

还有些题没整理完,整理后依旧扫描上传到此文档 线性时不变连续系统基础计算题 ......
系统 线性 信号 基础

基于FPGA的数字钟设计---第三版---郝旭帅电子设计团队

本篇为各位朋友介绍基于FPGA的数字钟设计 第三版。 功能说明: 在数码管上面显示时分秒(共计六个数码管,前两个显示小时;中间两个显示分钟;最后两个显示秒)。 利用按键可以切换24/12小时制(默认24小时制)。 led1的亮灭表示24小时制(亮)还是12小时制(灭)。 led2的亮灭表示上午(亮) ......
数字钟 团队 数字 电子 FPGA

sds ip都有哪些信号

1.模拟信号直连bump,不需要做数字逻辑,包括差分或单端时钟,差分数据收发信号,模拟测试,电源输入。校准电阻。 2.并口数据信号,并口时钟。和pcs对接。有的型号并口时钟有多个频率,需要外部进行mux选择后再拉回到ip内部。 3.状态与控制信号,比如los, lock,ok信号,配置管脚。 4.总 ......
信号 sds

基于FPGA的图像高斯滤波实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 基于FPGA的图像高斯滤波实现是一种利用FPGA硬件平台对图像进行高斯滤波处理的方法。下面将详细介绍这种方法的原理和数学公式。 一、原理 高斯滤波是一种线性平滑滤波,适用于消除高斯噪声, ......
图像 文件 MATLAB FPGA

pyside6 子窗口给主窗口传参(信号)

思路 和主窗口给子窗口传参数不同的点就是需要把,主窗口传入子窗口 代码 from PySide6.QtWidgets import QApplication, QWidget, QVBoxLayout, QLabel, QPushButton, QLineEdit from PySide6.QtCo ......
信号 pyside6 pyside

pyside6 主窗口给子窗口发送信号

通过信号发送 from PySide6.QtWidgets import QApplication, QWidget, QVBoxLayout, QLabel, QPushButton, QLineEdit from PySide6.QtCore import Signal, Slot class ......
信号 pyside6 pyside

基于FPGA的图像拼接算法实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 将FPGA的拼接结果导入到matlab,显示结果如下: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.算法理论概述 3.1 理论概述 基于FPGA的图像拼接算法实现是一种利用FPGA硬件平台对图像进行拼接处理的方法。基于FPGA的图像拼接算法实现 ......
算法 图像 文件 MATLAB FPGA

m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench

1.算法仿真效果 vivado2019.2仿真结果如下: 2.算法涉及理论知识概要 基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。 2.1 PPM调制解调原理 脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递 ......
光学 testbench verilog 系统 FPGA

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 2.算法运行软件版本 matlab2022a vivado2019.2 3.算法理论概述 拉普拉斯变换是一种二阶微分算子,用于图像增强和边缘检测。它通过计算图像中每个像素点周围像素的灰度差值,突出图像中的高频成分,从而增强边缘和细节。 对于二维图像f(x,y),拉普拉斯变换定 ......
拉普拉斯 图像 文件 MATLAB FPGA

TensorFlow 实现信号与系统中的严格卷积操作(类似np.convolve)

在TensorFlow中,可以使用tf.nn.conv1d函数来进行一维的卷积操作,这个函数通常是用于卷积神经网络的,但也可以实现信号与系统里的卷积,此处关于信号与系统的卷积,可以参照【官方双语】那么……什么是卷积?_哔哩哔哩_bilibili Numpy代码及结果 #得到结果:[ 4 13 28 ......
卷积 TensorFlow convolve 信号 系统

【FMC211】基于VITA57.1的16路LVDS数据采集、1路光纤数据收发处理平台

板卡概述 FMC211是一款基于VITA57.1标准规范的实现16路LVDS数据采集、1路光纤数据收发处理FMC子卡模块。 该板卡支持2路CVBS(复合视频)视频输入,能够自动检测标准的模拟基带电视信号,并将其转变为8位ITU-R.656接口信号或者4:2:2分量视频信号。 该板卡支持2路标清(SD ......
数据 数据采集 光纤 平台 57.1

FPGA新的体会:相机传感器驱动

用过了几款相机,对使用相机也有了一点心得,在此记录。 当你得到一款相机,你需要做的: 第一件事:在datasheet中阅读配置单,知道怎么配置、配置完输出来是什么。 配置输出尺寸;传输模式:DDR?SDR?;传输格式:raw8/10/12?rgb? Dvp or Lvds? 第二件事:根据接口决定驱 ......
传感器 相机 FPGA

05 QT上位机读写FPGA内存

软件版本:vitis2021.1(vivado2021.1) 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑! 5.1概述 如果读者对于前面demo还有一些疑惑,那么本节 ......
内存 FPGA 05

05 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 05

06 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 06

07 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 07

08 FPGA 光通信预留章节

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 章节 FPGA 08

04 FPGA光通信之8B10B ADC采集传输

软件版本:VIVADO2021.1 操作系统:WIN10 64bit 硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA 登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑! ......
光通信 8B10B FPGA 10B B10
共800篇  :1/27页 首页上一页1下一页尾页